적극적 생각 507

dice_new 오류해결완료

단톡방을 통해 물어보면서 문제를 해결했고 자 우선 결과적으로 된다. `timescale 1ns / 1ps module dice(clk, reset_n, btn, led); // io input clk; input reset_n; input [1:0] btn; output [3:0] led; wire [2:0] num; // instantiation dice_controller dice_controller_0 ( .clk(clk), .reset_n(reset_n), .reset_button(btn[0]), .shuffle_button(btn[1]), .random_num(num), .led(led) ); gen_random gen_random_0 ( .clk(clk), .reset_n(reset_n), ...

dice_new

tb 까지는 셋팅을 완료했고 랜덤생성된 숫자로 표출될 수 있도록 gen을 조정해준다. 설계를 조정한다. sync가 아닌 async로 만들고 delay timing을 고려해준다. 1clk 정도? 안되겠다. 난수 하려면 counter 쓰는게 편할듯해서 async 말고 sync 로 바꿔주기는 해야할듯하다. 1부터 6까지 계속해서 카운터되는 모듈을 만들었고 request를 보낼때 시점에 얻어오는 것으로 하자. 구현하다가 느꼈는데, request 신호는 필요 없길래 제거했다. um... tb그냥 바로 돌려봤는데 왜 이렇게 뜨지? verilog concat 문법확인 https://class.ece.uw.edu/cadta/verilog/replication.html#:~:text=The%20concatenation%..